2nm決戰2025
從三強爭霸到四雄逐鹿,2nm的廝殺聲已然隱約傳來。無論是老牌勁旅台積電、三星,還是誓言重回先進製程領先地位的英特爾,甚至初成立不久的新貴日本Rapidus,都將目光鎖定在了2025年,豪言實現2nm首發。看起來,即將到來的2025年不僅是2nm製程的關鍵一年,更將是代工格局迎來重塑的拐點。只不過,誰能折桂這一榮耀?
爭先恐後
來看看“不約而同”的2nm時間軸進程。
作為行業老大,台積電稱將如期在2025年上線2nm工藝,2025年下半年進入量產。2nm可謂是台積電的一個重大節點,該工藝將採用納米片晶體管(Nanosheet),取代FinFET,意味著台積電工藝正式進入GAA時代。
有報導稱,台積電在前不久已開始了2nm工藝的預生產,英偉達和蘋果有望成為首發客戶。
一直在“坐二望一”的三星在3nm率先以GAA開局,在2nm層面自然也志在必得:在其最新公佈的第二季度財報中表示,2nm GAA的開發已步入正軌並進展順利。
在之前三星也公佈了2nm量產的具體時間表:自2025年起首先將該技術用於移動終端;到2026年將適用於採用背面供電技術的高性能計算;2027年將其用途擴至汽車芯片。
反觀英特爾亦快馬加鞭。自宣布實施IDM2.0戰略以來,英特爾不遺餘力四面出擊,著力向“四年五個製程節點”的目標邁進,其中Intel 20A和Intel 18A分別對應2nm和1.8nm製程,英特爾對此寄予厚望,激進宣布Intel 20A計劃於2024年上半年投入使用,進展良好的Intel 18A也將提前至2024年下半年進入大批量製造,在時間上誓要先發製人。
作為後來者,承載日本代工業復興大計的Rapidus亦不甘示弱,前不久公佈了最新的生產計劃,預計將在2025年試產2nm,採用IBM 2nm GAA技術,目標是2027年大規模量產。
2025年,或將開啟2nm的“華山論劍”大戲。不過業內人士許然(化名)對集微網表示,誰家率先量產不是最重要的,就如三星率先量產3nm,但首先只是在挖礦芯片上採用,意義不太大,而且每家的2nm也涉及物理尺寸的不同,不能一概而論。
反超機會?
瞄準2nm決戰,對於三星還是英特爾來說的重要性還在於,他們均將2nm工藝視為其超越競爭對手並重返先進製程領先地位的關鍵。
是什麼給了他們底氣?
從三星來看,由於率先3nm製程中採用GAA架構,在GAA用於先進製程方面擁有了率先量產和磨合的先發優勢。此外,三星還開發了MBCFET晶體管專利技術,為其2nm工藝競爭力再添籌碼。三星表示,與7nm FinFET相比,MBCFET可將功耗降低50%,性能提高30%,並將晶體管佔用面積減少45%,提供了卓越的設計靈活性。
如果說每一代工藝有每一代的“絕活”,那麼無疑背面供電(BSPDN)技術將是影響2nm對決之勢的一大因素,據稱,與FSPDN前端供電網絡相比,BSPDN的性能提高了44 %,能效提高了30%,三大巨頭也紛紛排兵布陣。
英特爾在這一技術層面看似先行破發。不僅將在Intel 20A製程率先採用RibbonFET架構(相當於GAA架構),還將結合另一突破性技術背面供電PowerVia,這對晶體管微縮至關重要,可解決日益嚴重的互連挑戰,提升芯片性能和能效。通過兩大技術的“聯合”,英特爾認為這將是新的FinFET 時刻——參考英特爾2012年在22nm引入FinFET的榮光。
值得一提的是,英特爾在第二季度宣布率先在產品級測試芯片上已實現PowerVia,相比台積電和三星領先兩年,將為英特爾的反超提供巨大的優勢。
有消息稱,台積電計劃在2026年推出N2P工藝,這一工藝將採用背面供電技術,而且三星也將在2nm工藝採用BSPDN技術。
相較之下,2nm是台積電首次從FinFET轉至GAA,在架構遷移上相當於“落後”於三星。儘管台積電宣稱,已在N2矽的良率和性能方面都取得了“紮實的進展”,但業內也有質疑說台積電的2nm GAA工藝有良率“翻車”的風險。
前知名分析師陸行之在媒體直言,如果台積電研發速度太慢,2nm再跟3nm一樣,離5nm間隔3~4年,就很可能被超車,並稱“一些設備商比較看好英特爾2nm/1.8nm進度,台積電內部也挺緊張的,到處打探消息”,但他同時也認為台積電有強大的執行力。
饒是如此,台積電的綜合實力依舊不容小覷。以賽亞調研(Isaiah Research)認為,台積電和三星有更大的機會率先實現2nm量產,因為這兩大巨頭過去在先進製程的良率和量產方面表現相對出色。
對此集微諮詢也分析,台積電和三星在先進工藝技術領域一步一個腳印,積累更全面、更紮實,向2nm推進過程中相對率先實現的概率更高。英特爾雖實現了7nm,但在5nm和3nm節點層面尚需積累量產和磨合經驗,直接跳至2nm扭轉局面仍面臨一定挑戰。
先進封裝的X因素
看起來2nm是工藝的決戰,但其實先進封裝的重要性已然不可忽視。
先進封裝與製程工藝可謂相輔相成,其在提高芯片集成度、加強互聯、性能優化的過程中扮演了重要角色,成為助力系統性能持續提升的重要保障。為在工藝節點獲得更大的贏面,押注先進封裝已成為三大巨頭的“顯性”選擇。
近些年來,英特爾、三星和台積電一直在穩步投資先進封裝技術,各自表現也可圈可點。
綜合來看,在先進封裝領域,台積電的領先地位依舊凸顯。據了解,台積電在先進封裝上已獲得了可觀的收入體量,技術佈局也進入關鍵節點,未來投入規模將持續加碼。尤其是在AI產能需求持續升級之下,台積電正積極擴充第六代2.5D先進封裝技術CoWoS產能,將投資約28億美元打造先進封裝廠,預計2026年底建廠完成、2027年第三季開始量產,月產能達11萬片12英寸晶圓,涵蓋SoIC、InFO以及CoWoS等先進封裝技術。
半導體知名專家莫大康就表示,台積電在CoWoS的產能大增,將十分有利於其爭取2nm討單。而時刻保持“兩手抓”,也讓台積電的護城河愈加深厚。
英特爾也不逞多讓。通過多年技術探索,相繼推出了EMIB、Foveros和Co-EMIB等多種先進封裝技術,在互連密度、功率效率和可擴展性三個方面持續精進。在今年5月,英特爾發布了先進封裝技術藍圖,計劃將傳統基板轉為更為先進的玻璃材質基板,以實現新的超越。而且,英特爾也在佈局矽光模塊中的CPO(共封裝光學)技術,以優化算力成本。在先進封裝領域,英特爾或可與台積電同台競技。
三星自然也緊追不捨。針對2.5D封裝,三星推出的I-Cube封裝技術可與台積電CoWoS相抗衡;3D IC技術方面,三星2020年推出X-Cube封裝。此外,三星計劃在2024年量產可處理比普通凸塊更多數據的X-Cube封裝技術,並預計2026年推出比X-Cube處理更多數據的無凸塊型封裝技術。
對此許然認為,三星在2.5D先進封裝方面雖已佈局多年,但是前道代工業務較弱,在一定程度上影響了其先進封裝業務的進展,客戶相對較少。不過隨著台積電CoWoS短期內難以滿足客戶需求,三星有希望能接到部分訂單,而且它還擁有唯一擁有從存儲器、處理器芯片的設計、製造到先進封裝業務組合的優勢。
以賽亞調研指出,在先進封裝領域,目前更加強調的是異構芯片的整合能力。例如,MI300封裝將3nm GPU與5nm CPU芯片整合在一塊,這種整合能力對於提高芯片性能和效能至關重要。因而,未來的比拼也將圍繞這一能力展開。
全面考驗
儘管看似巨頭們各有伯仲,但2nm的考驗絕不止首發那麼簡單。莫大康提及,儘管上述巨頭技術進階的路徑基本相同,且都採用ASML的高NA光刻機,但無論是良率、客戶粘性和服務均將影響2nm量產的進程。
以賽亞調研也提及,各家廠商的量產進程受到多種因素的影響,包括技術難度、資金投入、設備與材料支持等。
“根據目前的評估,台積電與三星將繼續是2nm製程的主要代工廠商,因在先進製程的良率和量產規模方面表現出色。英特爾在技術研發方面雖具有一定的優勢,但其晶圓代工主要專注於自家產品,對外部客戶的合作較為有限,這對突破先進製程的良率和量產穩定性帶來了挑戰。而日本Rapidus雖擁有強大的研發資源,但主要專注在AI及超級計算機等相關產品,以在日本建立自己的先進工藝供應鏈、服務日本客戶為優先,經濟規模的量產還在其次。”以賽亞調研詳細解讀說。
其中,良率可謂至關重要,畢竟2nm製程晶圓代工報價約為24570美元,成本如此之高低良率真心“傷不起”。
追溯歷史,也可以看到,雖然台積電與三星都開始3nm芯片的量產,但就算最領先的台積電也還在苦苦奮戰5nm的良率提升。連台積電都不敢保證,何時3nm量產的良率能及格。也因此,日本Rapidus要實現2nm的量產,低良率恐怕會成為致命關鍵。
而影響良率的因素繁多,集微諮詢指出,這涉及高NA光刻機、工藝優化、設計水平、經驗等等。“良率需要不斷優化提升,如果某家廠商的良率高於競爭對手一個數量級,有可能客戶在A家下的單,就會轉至B家,變數還是很大的。”
客戶的粘性也是諸多變量綜合平衡的結果。在客戶認可度方面,雖然台積電是眾多芯片客戶們的首選,但為了供應鏈安全,客戶們也會有自己的Plan B計劃。
對於產能過剩的問題,以賽亞調研的結論是,因為2nm的技術研發門檻及單價都偏高,客戶要投片時會謹慎考量產品效能與成本間的平衡。在客戶有限的情況下,各家晶圓廠的擴產會更多根據客戶需求開出,適時調配產能,因此要達到產能過剩的機率不高。
2nm的代工格局走向究竟如何,要看四大廠商的“言之鑿鑿”到底有多少落到實處了。